CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - RS422 vhdl

搜索资源列表

  1. yibutongxin

    0下载:
  2. 用VHDL编写的串口异步通信的例子,适于RS232、RS422的通信
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:558555
    • 提供者:王权
  1. RS422

    0下载:
  2. 这是一个用VHDL开发的RS422通讯程序,在ALTERA FLEX EPF10K上通过了测试
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1586231
    • 提供者:
  1. rs422

    0下载:
  2. rs422接 VHDL语言编写 chipescope仿真通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:975796
    • 提供者:殷凤平
  1. UART

    0下载:
  2. 用UART实现RS422通信-UART TO RS422
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1198
    • 提供者:MARS
  1. VHDL-RS422

    1下载:
  2. rs422协议的通讯程序.做一些简单改动即可以移植到各种环境。-rs422
  3. 所属分类:VHDL编程

    • 发布日期:2012-12-12
    • 文件大小:1618312
    • 提供者:sun
  1. rs422

    0下载:
  2. 程序将通过rs422接口传进来的16bit数据转成串行输出的数据-Program will pass through the rs422 interface 16bit data transfer incoming data into a serial output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2692834
    • 提供者:徐琪
  1. RS422

    0下载:
  2. 描述了有关RS422的标准及通信协议,便于开发者的项目开发-A descr iption of the RS422 standard and communications protocol to facilitate the developer s project development
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:330218
    • 提供者:李海
  1. SEND422

    0下载:
  2. 这是用VHDL编写的代码,是RS422在UART协议层上实现数据发送的过程,很有用的啊!-It is written in VHDL code, is RS422 UART protocol layer in the data transmission process, useful, ah!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:835
    • 提供者:xingzhanpeng
  1. rs422

    1下载:
  2. RS-422的VHDL实现,代码测试能用-RS-422 VHDL implementation code test can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:991232
    • 提供者:PETER
  1. RS422

    0下载:
  2. 基于FPGA和VHDL语言实现远程通信   控制导弹点火控制器 - Based on the FPGA with VHDL language to realize remote communication Control missile ignition controller
  3. 所属分类:Com Port

    • 发布日期:2017-04-10
    • 文件大小:1586235
    • 提供者:成晓龙
  1. RS_422

    1下载:
  2. 在K7FPGA上利用verilog语言编写的RS422串口,由于没找到Verilog所以选择了VHDL(On the K7FPGA, using Verilog language RS422 serial port, because did not find Verilog, so chose VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-16
    • 文件大小:5884928
    • 提供者:allen3zsy
  1. RS422

    0下载:
  2. 基于vhdl开发的指令发送程序,实现RS422通信功能(instruction sending based on VHDL development)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:2114560
    • 提供者:路口射手
搜珍网 www.dssz.com